Home       Publications       Curriculum Vitae    

Publication List

Journal Papers

  1. Hikaru Kobayashi, Tomohiro Kubota, Kenji Namba, Yoshihiro Nakato, and Yasushiro Nishioka,
    A new spectroscopic method for determination of energy distribution of interface states in the semiconductor band-gap
    J. Electron Spectrosc. Relat. Phenom. 76, 595-600 (1995.12).
  2. Hikaru Kobayashi, Tomohiro Kubota, Noriaki Toshikawa, and Yoshihiro Nakato,
    Mechanism of open circuit photovoltages for silicon/methanol junction solar cells
    J. Electroanal. Chem. 398 (1-2), 165-168 (1995.12).
  3. Wahid Shams-Kolahi, Michihiro Kobayashi, Hiromasa Hanzawa, Tomohiro Kubota, Hikaru Kobayashi, Hiroaki Okamoto, Shoichi Endo, Yuki Kobayashi, and Yoshihiro Hamakawa,
    Valence band structure of Si-As-Te chalcogenide glasses prepared in the gravity environment of the earth and in a microgravity environment in space
    Jpn. J. Appl. Phys. 35 (12A), 6162-6165 (1996.12).
  4. Tomohiro Kubota, Yoshihiro Nakato, Kenji Yoneda, and Hikaru Kobayashi,
    Platinum-enhanced oxidation of GaAs
    Phys. Rev. B 56 (12), 7428-7434 (1997.9).
  5. Hikaru Kobayashi, Akira Asano, Shinya Asada, Yoshiyuki Yamashita, Tomohiro Kubota, Kenji Yoneda, and Yoshihiro Todokoro,
    Studies on interface states at ultrathin SiO2/Si(100) interfaces by means of x-ray photoelectron spectroscopy under biases and their passivation by the cyanide treatment
    J. Appl. Phys. 83 (4), 2098-2103 (1998.2).
  6. Hikaru Kobayashi, Tomohiro Kubota, Hidefumi Kawa, Yoshihiro Nakato, and Masayoshi Nishiyama,
    Oxide-thickness-dependence of energy shifts in the Si 2p levels for the SiO2/Si structure and its removal by a palladium overlayer
    Appl. Phys. Lett. 73 (7), 933-935 (1998.8).
  7. Akira Asano, Tomohiro Kubota, Yasushiro Nishioka, and Hikaru Kobayashi,
    Dependence of interface states for ultra-thin SiO2/Si interfaces on the oxide atomic density determined from FTIR measurements
    Surf. Sci. 427-428, 219-223 (1999.6).
  8. Tomohiro Kubota, Akira Asano, Yasushiro Nishioka, and Hikaru Kobayashi,
    Theoretical and spectroscopic studies of gap-states at ultrathin silicon oxide/silicon interfaces
    J. Chem. Phys. 111 (17), 8136-8143 (1999.9).
  9. Tomofumi Susaki, Tomohiro Kubota, Tadahiro Komeda, and Maki Kawai,
    Photoemission study of ultrathin Fe film on Au
    Surf. Sci. 493 (1-3), 708-712 (2001.11).
  10. Tomohiro Kubota, Yuko Saya, Maki Kawai, Masayuki Hagiwara, Hiroko Aruga Katori, and Tomohiro Yamaguchi,
    Insulator-Metal Transition in Sm1-xSrxMnO3 Films
    J. Phys. Chem. Solids., 63 (6-8), 943-946 (2002.7).
  11. Tomohiro Kubota, Ján Ivančo, Masao Takahashi, Kenji Yoneda, Yoshihiro Todokoro, and Hikaru Kobayashi,
    Elimination of interface states in the GaAs band-gap by cyanide treatment: XPS measurements under bias
    Surf. Sci. 529 (3), 329-337 (2003.4).
  12. Tomohiro Kubota, Tomohiro Baba, Seiji Samukawa, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, and Ichiro Yamashita
    A 7-nm nanocolumn structure fabricated by using a ferritin iron-core mask and low-energy Cl neutral beams
    Appl. Phys. Lett. 84 (9), 1555-1557 (2004.3).
  13. Hikaru Kobayashi, Masao Takahashi, Osamu Maida, Akira Asano, Tomohiro Kubota, Ján Ivančo, Akihiko Nakajima, and Katsuhiro Akimoto,
    Semiconductor surface and interface passivation by cyanide treatment
    Appl. Surf. Sci. 235 (3), 279-292 (2004.8).
  14. Tomohiro Kubota, Tomohiro Baba, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa
    Study of neutral-beam etching conditions for the fabrication of 7-nm-diameter nanocolumn structures using ferritin iron-core masks
    J. Vac. Sci. Technol. B 23 (2), 534-539 (2005.3).
  15. Ján Ivančo, Tomohiro Kubota, and Hikaru Kobayashi,
    Deoxidation of gallium arsenide surface via silicon overlayer: A study on the evolution of the interface state density
    J. Appl. Phys. 97 (7), 073712-1~073712-7 (2005.4).
  16. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Yuki Ishikawa, Etsuro Sugimata, Takashi Matsukawa, Hidenori Takashima, Hiromi Yamauchi, and Eiichi Suzuki,
    Fabrication of a vertical-channel double-gate metal-oxide-semiconductor field-effect transistor using a neutral beam etching
    Jpn. J. Appl. Phys. 45 (10), L279-L281 (2006.3).
  17. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Yuki Ishikawa, Etsuro Sugimata, Takashi Matsukawa, Hidenori Takashima, Hiromi Yamauchi, and Eiichi Suzuki,
    Fabrication of FinFETs by Damage-Free Neutral-Beam Etching Technology
    IEEE Trans. Electron Devices 53 (8), 1826-1833 (2006.8).
  18. Hikaru Kobayashi, Takeaki Sakurai, Yoshiyuki Yamashita, Tomohiro Kubota, Osamu Maida, and Masao Takahashi,
    Method of observation of low density interface states by means of X-ray photoelectron spectroscopy under bias and passivation by cyanide ions
    Appl. Surf. Sci. 252 (21), 7700-7712 (2006.8).
  19. Tomohiro Kubota, Takeshi Hashimoto, Yasushi Ishikawa, Atsushi Miura, Yukiharu Uraoka, Takashi Fuyuki, Masaki Takeguchi, Kensuke Nishioka, Ichiro Yamashita, and Seiji Samukawa,
    Charging and Coulomb staircase effects in silicon nanodisk structures fabricated by defect-free Cl neutral beam etching process
    Appl. Phys. Lett. 89 (23), 233127-1~233127-3 (2006.12).
  20. Tomohiro Kubota, Tomohiro Baba, Suguru Saito, Satoshi Yamasaki, Shinya Kumagai, Takuro Matsui, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Low-damage fabrication of high aspect nanocolumns by using neutral beams and ferritin-iron-core mask
    J. Vac. Sci. Technol. B, 25 (3), 760-766 (2007.5).
  21. Tomohiro Kubota, Takeshi Hashimoto, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Coulomb-staircase observed in silicon-nanodisk structures fabricated by low-energy chlorine neutral beams
    J. Appl. Phys., 101 (12), 124301-1~124301-9 (2007.6).
  22. Seiji Samukawa, Tomohiro Kubota, Chi-Hsien Huang, Takeshi Hashimoto, Makoto Igarashi, Kensuke Nishioka, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, and Ichiro Yamashita
    A New Silicon Quantum-Well Structure with Controlled Diameter and Thickness Fabricated with Ferritin Iron Core Mask and Chlorine Neutral Beam Etching
    Appl. Phys. Express, 1 (7), 074002-1~074002-3 (2008.6).
  23. Shigeo Yasuhara, Juhyun Chung, Kunitoshi Tajima, Hisashi Yano, hingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, Tomohiro Kubota, Hiroto Ohtake, and Seiji Samukawa
    Structure-designable method to form super low-k SiOC film (k=2.2) by neutral-beam-enhanced chemical vapor deposition
    J. Phys. D: Appl. Phys., 42, 055208-1~055208-7 (2009.2).
  24. Taiki Sato, Akira Ueno, Takuya Yara, Eiji Miyamoto, Yukiharu Uraoka, Tomohiro Kubota, and Seiji Samukawa
    Irradiation-Damages in Atmospheric Plasma Used in a Resist Ashing Process for Thin Film Transistors
    Jpn. J. Appl. Phys., 48, 03B009-1~03B009-5 (2009.3).
  25. Shinji Ueki, Yuki Nishimori, Hiroshi Imamoto, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi
    Analysis of a Comb-Drive Actuator Taking the Depletion Layer into Consideration
    IEEJ Transactions on Sensors and Micromachines, 130 (8), 388-393 (2010).
  26. Tomohiro Kubota, Osamu Nukaga, Shinji Ueki, Masakazu Sugiyama, Yoshimasa Inamoto, Hiroto Ohtake, and Seiji Samukawa
    200-mm-diameter neutral beam source based on inductively coupled plasma etcher and silicon etching
    J. Vac. Sci. Technol. A, 28 (5), 1169-1174 (2010.9).
  27. Shinji Ueki, Yuki Nishimori, Hiroshi Imamoto, Tomohiro Kubota, Masakazu Sugiyama, Hideki Kawakatsu, Seiji Samukawa, and Gen Hashiguchi
    Method to evaluate the influence of etching damage on microcantilever surface on its mechanical properties
    Jpn. J. Appl. Phys., 50, 026503-1~026503-6 (2011.2).
  28. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa
    Numerical simulation on neutral beam generation mechanism by collision of positive and negative chlorine ions with graphite surface
    J. Phys. D: Appl. Phys., 44, 125203-1~125203-5 (2011.3).
  29. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa
    Numerical study on electron transfer mechanism by collision of ions at graphite surface in highly-efficient neutral beam generation
    J. Phys. D: Appl. Phys., 45, 095202-1~095202-5 (2012.2).
  30. Shinji Ueki, Yuki Nishimori, Hiroshi Imamoto, Tomohiro Kubota, Kuniyuki Kakushima, Tsuyoshi Ikehara, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi
    Modeling of the Vibrating-Body Field Effect Transistors based on Electro-Mechanical Interaction between Gate and Channel
    IEEE Trans. Electron Devices, 59, 2235-2242, (2012.8).
  31. Yuki Nishimori, Shinji Ueki, Kazuhiro Miwa, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi
    Effect of neutral beam etching on mechanical property of microcantilevers
    J. Vac. Sci. Technol. B, 31, 022001-1~022001-7, (2013.1).
  32. Akira Wada, Yuuki Yanagisawa, Batnasan Altansukh, Tomohiro Kubota, Takahito Ono, Satoshi Yamasaki, and Seiji Samukawa
    Energy-loss Mechanism of Single-crystal Silicon Microcantilever due to Surface Defects Generated during Plasma Processing
    Journal of Micromechanics and Microengineering, 23, 065020-1~065020-7, (2013.5).
  33. Daiki Nakayama, Akira Wada, Tomohiro Kubota, Robert Bruce, Ryan M. Martin, Moritz Haass, Nicholas Fuller, and Seiji Samukawa
    Highly Selective Silicon Nitride Etching to Si and SiO2 for Gate Sidewall Spacer Using CF3I/O2/H2 Neutral Beam
    Journal of Physics D: Applied Physics, 46, 205203-1~205203-7, (2013.5).
  34. Kazuhiro Miwa, Yuki Nishimori, Shinji Ueki, Masakazu Sugiyama, Tomohiro Kubota, and Seiji Samukawa
    Low-damage silicon etching using a neutral beam
    Journal of Vacuum Science and Technology B, 31, 051207-1~051207-6, (2013.9).
  35. Tomohiro Kubota, Hiroto Ohtake, Ryosuke Araki, Yuuki Yanagisawa, Takuya Iwasaki, Kohei Ono, Kazuhiro Miwa, and Seiji Samukawa
    Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structurebymeansof on-wafer monitoring technique and computer simulation
    Journal of Physics D: Applied Physics, 46, 415203-1~415203-7, (2013.9).
  36. Shinji Ueki, Yuki Nishimori, Kazuhiro Miwa, Shinya Nakagawa, Hiroshi Imamoto, Tomohiro Kubota, Masakazu Sugiyama, Seiji Samukawa, and Gen Hashiguchi
    Proposal of High Current Gain Vibrating-Body Field-Effect Transistor
    IEEJ Transactions on Sensors and Micromachines, 133, 332-336, (2013.11).
  37. Nguyen Van Toan, Tomohiro Kubota, Halubai Sekhar, Seiji Samukawa, and Takahito Ono
    Mechanical quality factor enhancement in silicon micromechanical resonator by low-damage process using neutral beam etching technology
    Journal of Micromechanics and Microengineering, 24, 085005-1~085005-11, (2014.7).
  38. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa
    Improved numerical calculation of generation of neutral beam by charge transfer between chlorine ions/neutrals and graphite surface
    Journal of Physics D: Applied Physics, 47, 465203-1~465203-6, (2014.10).

Proceedings

  1. Hikaru Kobayashi, Yoshiyuki Yamashita, Tomohiro Kubota, Yoshihiro Nakato, and Yasushiro Nishioka,
    Interface states for MOS devices with an ultrathin oxide layer
    Proceedings of the Third International Symposium on the Physics and Chemistry of SiO2 and Si-SiO2 Interface, 497-508 (1996).
  2. Yasushiro Nishioka, Tadahiro Komeda, Kenji Namba, Mieko Matsumura, Tomoyuki Sakoda, Tomohiro Kubota, Yoshiyuki Yamashita, and Hikaru Kobayashi,
    Ultrathin MOS gate insulators: surface preparation, growth, and interface control
    Proceedings of the Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films, 347-362 (1997).
  3. Tomohiro Kubota, Tomohiro Baba, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of 7-nm Nanocolumn Structure using Ferritin Iron-Core Masks and Highly Anisotropic Neutral Beam Etching
    Proceedings of the 26th International Symposium on Dry Process, 305-310 (2004).
  4. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Yasushi Ishikawa, Etsuro Suginuma, and Eiichi Suzuki,
    Damage-Free Neutral Beam Etching Technology for High Mobility FinFETs
    IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest, 840-843 (2005).
  5. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Hidenori Takashima, Etsuro Sugimata, Takashi Matsukawa, Hiromi Yamauchi, Yuki Ishikawa, and Eiichi Suzuki,
    Damage-free fabrication of finfets using a neutral beam etching
    Proceedings of the 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, 463-464 (2006).
  6. Masaharu Shiratani, Takao Kaji, Kazunori Koga, Yukio Watanabe, Tomohiro Kubota, and Seiji Samukawa,
    Plasma anisotropic CVD of high purity Cu using Cu(hfac)2
    Proceedings of the 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, 119-120 (2006).
  7. Tomohiro Kubota, Suguru Saito, Jem-Kun Chen, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of defect-free sub-10nm Si nanocolumn using Cl neutral beam
    Proceedings of the 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, 147-148 (2006).
  8. Takeshi Hashimoto, Chi-Hsien Huang, Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Diameter-Controlled Defect-Free Si Nanostructure Using Neutral Beam Etching for Realistic Quantum Effect Devices
    Proceedings of the 29th International Symposium on Dry Process, 19-20 (2007).
  9. Sigeo Yasuhara, Juhyun Chung, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, Tomohiro Kubota, Hiroto Ohtake and Seiji Samukawa,
    Structure Designable Formation Technique of Super Low-k SiOC film (k=2.2) by Neutral-Beam-Enhanced-CVD
    Proceedigs of the 2008 IEEE International Interconnect Technology Conference, 73-75 (2008).
  10. Hideo Miura, Ken Suzuki, Yuta Ito, Seiji Samukawa, Tomohiro Kubota, Toru Ikoma, Hideki Yoshikawa, Shigenori Ueda, Yoshiyuki Yamashita, and Keisuke Kobayashi
    Degradataion of Interface Integrity between a High-k Dielectric Thin Film and a Gate Electrode due to Excess Oxygen in the Film
    Proceedings of the 2009 IEEE International Reliability Physics Symposium, 376-381 (2009).
  11. Osamu Nukaga, Satoshi Yamamoto, Kazuhito Tabata, Tomohiro Kubota, Seiji Samukawa, and Masakazu Sugiyama
    Lateral Nano-Channel Fabricated in Fused Silica by Femtosecond Laser Irradiation and Wet Etching
    Proceedings of the 14th International Conference on Miniaturized Systems for Chemistry and Life Sciences (μTAS 2010), 1199-1201 (2010).
  12. Yuki Nishimori, Shinji Ueki, Kazuhiro Miwa, Tomohiro Kubota, Seiji Samukawa, Gen Hashiguchi, and Masakazu Sugiyama
    Recovery of plasma-induced mechanical damage in resonators using neutral beam etching; wafer-scale validation by arrayed cantilevers
    Proceedings of the 25th International Conference on Micro Electro Mechanical Systems, 313-316 (2012).
  13. Akira Wada, Tomohiro Kubota, Yuuki Yanagisawa, Batnasan Altansukh, Kazuhiro Miwa, Takahito Ono, and Seiji Samukawa
    3-Dimensional and Damage-Free Neutral Beam Etching for MEMS Application
    Proceedings of the IEEE Sensors 2012 Conference, 1-3 (2012).
  14. Nguyen Van Toan, Tomohiro Kubota, Halubai Sekhar, Seiji Samukawa, and Takahito Ono
    Fabrication and evaluation of silicon micromechanical resonator using neutral beam etching technology
    Proceedings of 2014 9th IEEE International Conference on Nano/Micro Engineered and Molecular Systems (NEMS), 1-5 (2014).

International Conferences

  1. Hikaru Kobayashi, Tomohiro Kubota, Kenji Namba, Yoshihiro Nakato, and Yasushiro Nishioka,
    A new spectroscopic method for determination of energy distribution of interface states in the semiconductor band-gap
    6th International Conference on Electron Spectroscopy, Rome, Italy, 1995.6
  2. Hikaru Kobayashi, Yoshiyuki Yamashita, Tomohiro Kubota, Yoshihiro Nakato, and Yasushiro Nishioka,
    Interface states in the semiconductor band-gap obtained from XPS measurements under biases
    9th International Conference on Solid Surfaces, Yokohama, Japan, 1995.9.25-29
  3. Hikaru Kobayashi, Tomohiro Kubota, Yoshiyuki Yamashita, Yoshihiro Nakato, and Yasushiro Nishioka,
    Energy distribution of interface states in GaAs and InP band-gaps obtained from XPS measurements under biases
    1995 International Chemical Congress of Pacific Basin Societies, Honolulu, Hawaii, 1995.12
  4. Hikaru Kobayashi, Yoshiyuki Yamashita, Tomohiro Kubota, Yoshihiro Nakato, and Yasushiro Nishioka,
    Interface states for MOS devices with an ultrathin oxide layer
    The 3rd International Symposium on the Physics and Chemistry of SiO2 and Si-SiO2 Interface, Los Angeles, California, 1996.5.5-10
  5. Tomohiro Kubota, Yoshihiro Nakato, and Hikaru Kobayashi,
    Interface states in GaAs and InP band-gaps observed by XPS under biases
    8th International Conference on Solid Films and Surfaces, Osaka, Japan, 1996.7.1
  6. Hikaru Kobayashi, Tomohiro Kubota, Yoshiyuki Yamashita, Yoshihiro Nakato, and Yasushiro Nishioka,
    Interface states in the semiconductor band-gap: XPS measurements under biases
    International Symposium on Surface Nano-Control of Environmental Catalysts and Related Materials (6th Iketani Conference), Tokyo, Japan, 1996.11
  7. Yasushiro Nishioka, Tadahiro Komeda, Kenji Namba, Mieko Matsumura, Tomoyuki Sakoda, Tomohiro Kubota, Yoshiyuki Yamashita, and Hikaru Kobayashi,
    Ultrathin MOS gate insulators: surface preparation, growth, and interface control
    4th International Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films, Montreal, Canada, 1997.5
  8. Hikaru Kobayashi, Akira Asano, Tomohiro Kubota, Yoshiyuki Yamashita, and Yasushiro Nishioka,
    New spectroscopic method for observation of energy distribution of interface states in the semiconductor band-gap
    7th International Conference on Electron Spectroscopy, 3O-1, Chiba, Japan, 1997.9
  9. Tomohiro Kubota, Yoshihiro Nakato, Kenji Yoneda, Yoshihiro Todokoro, and Hikaru Kobayashi,
    Catalytic Oxidation of GaAs: Oxidation Mechanism
    The 4th International Symposium on Atomically Controlled Surface and Interfaces, PC26, Waseda University, Tokyo Japan, 1997.10.29
  10. Hikaru Kobayashi, Akira Asano, Shinya Asada, Tomohiro Kubota, Yoshihiro Nakato, and Yasushiro Nishioka,
    Spectroscopic and theoretical studies of interface states in the Si band-gap
    The 4th International Symposium on Atomically Controlled Surface and Interfaces, Z4, Waseda University, Tokyo, Japan, 1997.10.30
  11. Hikaru Kobayashi, Akira Asano, Tomohiro Kubota, and Yasushiro Nishioka,
    New spectroscopic method for the determination of interface states in the band-gap and a decrease in the interface state density by cyanide treatment
    14th International Vacuum Conference, SS1.WeA.4, International Convention Centre, Birmingum, UK, 1998.9.2
  12. Hikaru Kobayashi, Akira Asano, E. Kanazaki, Shinya Asada, and Tomohiro Kubota,
    Observation and Control of Semiconductor Interfaces in Relation to Solar Cells
    1st International Symposium on Atomic Scale Processing and Novel Properties in Nanoscopic Materials, P45, Osaka University, Osaka, Japan, 1998.11.10
  13. Tomohiro Kubota,Yuko Saya, Maki Kawai, Masayuki Hagiwara, Hiroko Aruga Katori, and Tomohiro Yamaguchi,
    Insulator-Metal Transition in Sm1-xSrxMnO3 Films
    Todai International Symposium Correlated Electrons (8th ISSP Symposium), PA-21, Tokyo University, Kashiwa Japan, 2001.10.2
  14. Tomohiro Kubota, Tomohiro Baba, Seiji Samukawa, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, and Ichiro Yamashita
    A 7-nm nanocolumn fabricated by using a ferritin iron-core and low energy Cl neutral beams
    International Workshop on Plasma Nano-Technology and Its Future Vision, P-33, Hotel Associa Takayama Resort, Takayama Japan, 2004.2.6
  15. Tomohiro Baba, Tomohiro Kubota, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa
    Fabrication of 7-nm high-aspect-ratio nanocolumn structure by low energy neutral beam etching on a ferritin iron-core mask
    American Vacuum Society 51st International Symposium and Exhibition, PS1-WeM5, Anaheim Convention Center, Anaheim USA, 2004.11.17
  16. Tomohiro Kubota, Tomohiro Baba, Hiroyuki Kawashima, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa
    Fabrication of 7-nm Nanocolumn Structure using Ferritin Iron-Core Masks and Highly Anisotropic Neutral Beam Etching
    The 26th International Symposium on Dry Process, 5-04, Tokyo University, Tokyo Japan, 2004.12.1
  17. Tomohiro Kubota, Jem-Kun Chen, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, Satoshi Yamasaki, and Seiji Samukawa
    Fabrication of Defect-free Sub-10 nm Si Nanocolumn for Quantum Effect Devices Using Cl Neutral Beam Process
    The 2005 International Conference on Solid State Devices and Materials, G-5-1, International Conference Center Kobe, Kobe Japan, 2005.9.14
  18. Suguru Saito, Tomohiro Kubota, Kazuhiko Endo, and Seiji Samukawa
    Damage-free Silicon Etching by using Neutral Beam
    Second International Symposium on Transdisciplinary Fluid Integration, P-02, Hotel Hyuga, Hyuga Japan, 2005.10.26
  19. Jem-Kun Chen, Tomohiro Kubota, Yukiharu Uraoka, Takashi Fuyuki,Ichiro Yamashita, and Seiji Samukawa
    Fabrication of Defect-free Sub-10 nm Si Nanocolumn using Cl Neutral Beam
    American Vacuum Society 52nd International Symposium and Exhibition, NS1-MoM4, Hynes Convention Center, Boston USA, 2005.10.31
  20. Takao Kaji, Kazunori Koga, Masaharu Shiratani, Yukio Watanabe, Tomohiro Kubota, and Seiji Samukawa,
    Substrate Temperature Dependence of Deposition Rate in Anisotropic Plasma CVD of Cu
    27th International Symposium on Dry Process, 10-31, Ramada Plaza Jeju Hotel, Jeju Korea, 2005.11.29
  21. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Yasushi Ishikawa, Etsuro Suginuma, and Eiichi Suzuki,
    Damage-Free Neutral Beam Etching Technology for High Mobility FinFETs
    2005 IEEE International Electronic Devices Meeting, 34.5, Hilton Washington, Washington DC USA, 2005.12.7
  22. Kazuhiko Endo, Shuichi Noda, Meishoku Masahara, Tomohiro Kubota, Takuya Ozaki, Seiji Samukawa, Yongxun Liu, Kenichi Ishii, Hidenori Takashima, Etsuro Sugimata, Takashi Matsukawa, Hiromi Yamauchi, Yuki Ishikawa, and Eiichi Suzuki,
    Damage-free fabrication of finfets using a neutral beam etching
    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, P-2A-36, Hotel Taikanso, Matsushima Japan, 2006.1.25
  23. Masaharu Shiratani, Takao Kaji, Kazunori Koga, Yukio Watanabe, Tomohiro Kubota, and Seiji Samukawa,
    Plasma anisotropic CVD of high purity Cu using Cu(hfac)2
    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, G-4A-8, Hotel Taikanso, Matsushima Japan, 2006.1.25
  24. Tomohiro Kubota, Suguru Saito, Jem-Kun Chen, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of defect-free sub-10nm Si nanocolumn using Cl neutral beam
    6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing, G-6A-4, Hotel Taikanso, Matsushima Japan, 2006.1.27
  25. Butsurin Jinnai, Yasushi Ishikawa, Tomohiro Kubota, Hiroto Ohtake, Seiji Samuka,
    Control of Plasma Process by using On-Wafer Monitoring Technique
    The Third International Symposium on Transdisciplinary Fluid Integration, P-01, Hotel Taikanso, Matsushima Japan, 2006.6.12
  26. Suguru Saito, Tomohiro Kubota, Takuo Matsui, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of High Density and High-Aspect Silicon Nano-column Using Neutral Beam Etching and Ferritin Iron Core Mask
    American Vacuum Society 53rd International Symposium and Exhibition, PS1+BI-ThM8, Moscone West Convention Center, San Francisco USA, 2006.11.16
  27. Tomohiro Kubota, Takeshi Hashimoto, Masaki Takeguchi, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of Thin Silicon Nanodisk for Quantum Effect Devices Using Cl Neutral Beam Etching and Ferritin Iron Core Mask
    American Vacuum Society 53rd International Symposium and Exhibition, NS-ThA6, Moscone West Convention Center, San Francisco USA, 2006.11.16
  28. Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Coulomb-staircase Effect in Silicon-nanodisk Structures Fabricated Using Damage-free Cl Neutral Beam Etching
    The 2007 Silicon Nanoelectronics Workshop (Satellite Workshop of the VLSI symposium), 4-5, Righa Royal Hotel Kyoto, Kyoto Japan, 2007.6.10
  29. Tomohiro Kubota, Suguru Saito, and Seiji Samukawa,
    Low-damage fabrication of high aspect nanocolumns by using neutral beams and ferritin-iron-core mask
    18th International Symposium on Plasma Chemistry, 30P6-11, Kyoto University, Kyoto Japan, 2007.8.30
  30. Takeshi Hashimoto, Tomohiro Kubota, Chi-Hsien Huang, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of defect-free and diameter-controlled silicon nanodisks for future quantum devices by using neutral beam etching
    American Vacuum Society 54th International Symposium and Exhibition, PS1+NS-WeM9, Washington State Convention Center, Seattle USA, 2007.10.17
  31. Takeshi Hashimoto, Chi-Hsien Huang, Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Diameter-controlled Defect-free Si Nanostructure Using Neutral Beam Etching for Realistic Quantum Effect Devices
    29th International Symposium on Dry Process, 5-2, Tokyo International Exchange Center, Tokyo Japan, 2007.11.13
  32. Sigeo Yasuhara, Juhyun Chung, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, Tomohiro Kubota, Hiroto Ohtake and Seiji Samukawa,
    Structure Designable Formation Technique of Super Low-k SiOC film (k=2.2) by Neutral-Beam-Enhanced-CVD
    2008 IEEE International Interconnect Technology Conference, 4.3, Hyatt Regency Hotel, Burlingame USA, 2008.7.2
  33. Chi-Hsien Huang, Makoto Igarashi, Tomohiro Kubota, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Diameter-controlled 2-dimensional Array of Si Nanodisk Using Bionano-process and Neutral Beam Etching for Realistic Quantum Effect Devices
    The 2008 International Conference on Solid State Devices and Materials, D-8-3, Tsukuba International Congress Center, Tsukuba Japan, 2008.9.26
  34. Seiji Samukawa and Tomohiro Kubota,
    Ultimate Top-down Etching Processes for Future Nanoscale Devices
    The 9th International Conference on Solid-State and Integrated-Circuit Technology, E6.8, Beijing Jingyi Hotel, Beijing China, 2008.10.23 (Invited)
  35. Tomohiro Kubota, Chi-Hsien Huang, Makoto Igarashi, Masaki Takeguchi, Kensuke Nishioka, Yukiharu Uraoka, Takashi Fuyuki, Ichiro Yamashita, and Seiji Samukawa,
    Fabrication of Diameter- And Thickness-Controlled Nanodisk by using Defect-Free Neutral Beam And Its Quantum Effect
    8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-09, Tohoku University, Sendai Japan, 2008.12.20
  36. Juhyun Chung, Sigeo Yasuhara, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga, Tomohiro Kubota, Hiroto Ohtake and Seiji Samukawa,
    Formation of Super Low-k SiOC Film (k=2.2) by Neutral-Beam-Enhanced CVD
    8th International Symposium on Advanced Fluid Information and Transdisciplinary Fluid Integration, P-16, Tohoku University, Sendai Japan, 2008.12.20
  37. Hideo Miura, Ken Suzuki, Yuta Ito, Seiji Samukawa, Tomohiro Kubota, Toru Ikoma, Hideki Yoshikawa, Shigenori Ueda, Yoshiyuki Yamashita, and Keisuke Kobayashi,
    Degradataion of Interface Integrity between a High-k Dielectric Thin Film and a Gate Electrode due to Excess Oxygen in the Film
    The 2009 IEEE International Reliability Physics Symposium, 4A-6, Fairmont Queen Elizabeth Hotel, Montreal Canada, 2009.4.26
  38. Masaya Hirade, Tomohior Kubota, Yoshihiko Tsuru, Masayuki Yahiro, Koji Miyazaki, Seiji Samukawa, and Chihaya Adachi,
    Surface Modification of Organic Thin Films by Neutral Beam Irradiation
    The 2009 International Conference on Solid State Devices and Materials, P-10-19, Sendai Kokusai Hotel, Miyagi Japan, 2009.10.8
  39. Junji Adachi, Tomohiro Kubota, Masayuki Yahiro, Seiji Samukawa, and Chihaya Adachi,
    Formation of Cylindrical Shaped Organic Light Emitting Diode Etched by Damage Free Neutral Beam
    Korea-Japan Joint Forum 2010 on Organic Materials for Electronics and Photonics, PA001, Kitakyushu International Conference Center, Fukuoka Japan, 2010.8.12
  40. Tomohiro Kubota, Hiroto Ohtake, and Seiji Samukawa
    Large-diameter Neutral Beam Source for Practical Low-damage Etching Processes
    18th International Vacuum Congress, PST3-IN-1, Beijing International Convention Center, Beijing China, 2010.8.25 (Invited)
  41. Osamu Nukaga, Satoshi Yamamoto, Kazuhito Tabata, Tomohiro Kubota, Seiji Samukawa, and Masakazu Sugiyama
    Lateral Nano-Channel Fabricated in Fused Silica by Femtosecond Laser Irradiation and Wet Etching
    The 14th International Conference on Miniaturized Systems for Chemistry and Life Sciences (μTAS 2010), T5F, Martiniplaza, Groningen Netherlands, 2010.10.5
  42. Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yutaka Iriye, Osamu Nukaga, Shinji Ueki, Tomohiro Kubota, Masakazu Sugiyama, and Seiji Samukawa
    Numerical simulation of neutral beam generation by first-principles Quantum Mechanics
    American Vacuum Society 57th International Symposium and Exhibition, PS2-WeA-3, Albuquerque Convention Center, Albuquerque USA, 2010.10.20
  43. Tomohiro Kubota, Shinji Ueki, Osamu Nukaga, Masakazu Sugiyama, Hiroto Ohtake, and Seiji Samukawa
    Silicon etching using large diameter neutral beam source
    American Vacuum Society 57th International Symposium and Exhibition, PS2-WeA-8, Albuquerque Convention Center, Albuquerque USA, 2010.10.20
  44. Junji Adachi, Tomohiro Kubota, Masayuki Yahiro, Seiji Samukawa, and Chihaya Adachi
    Minimizing etching damages of organic semiconductor layers by neutral beams
    International Conference on Electronics Packaging, TD4-4, Nara Prefectural New Public Hall, Nara Japan, 2011.4.14
  45. Osamu Nukaga, Satoshi Yamamoto, Kazuhito Tabata, Tomohiro Kubota, Seiji Samukawa, and Masakazu Sugiyama
    Embedded Nano-channel Fabricated in Fused Silica by Femtosecond Laser Irradiation and Wet Etching for Nano-scale Fluid DevicesMinimizing etching damages of organic semiconductor layers by neutral beams
    International Conference on Electronics Packaging, TD5-3, Nara Prefectural New Public Hall, Nara Japan, 2011.4.14
  46. Tomohiro Kubota, Shinji Ueki, Yuki Nishimori, Gen Hashiguchi, Masakazu Sugiyama, and Seiji Samukawa
    Damage-free silicon etching using large diameter neutral beam source
    International Conference on Electronics Packaging, TD5-2, Nara Prefectural New Public Hall, Nara Japan, 2011.4.14
  47. Seiji Samukawa and Tomohiro Kubota
    Novel Quantum Effect Devices realized by Bio-template and Defect-Free Neutral Beam Etching
    2011 IEEE International NanoElectronics Conference, B2-6, Chang Gung University, Tao-Yuan Taiwan, 2011.6.22 (Invited)
  48. Tomohiro Kubota and Seiji Samukawa
    Silicon etching using large-diameter neutral beam source
    The 3rd International Conference on Microelectronics and Plasma Technology, S-18O, Furama Hotel, Dalian China, 2011.7.5
  49. Yosuke Tamura, Xuan-Yu Wang, Chi-Hsien Huang, Tomohiro Kubota, Jun Ohta, Hiroshi Fujioka, and Seiji Samukawa
    Damage-free GaN Etching by Chlorine Neutral Beam
    2011 International Conference on Solid State Devices and Materials, A-8-4, Aichi Industry & Labor Center, Nagoya Japan, 2011.9.30
  50. Jun Ishimoto, Daisuke Tan, U. Oh, Tomohiro Kubota, and Seiji Samukawa
    Integrated Experimental and Numerical Study of Thermomechanical Resist Removal-Cleaning Performance Using Cryogenic Micro-Solid Nitrogen Spray
    220th ECS Meeting & Electrochemical Energy Summit, 2058, Westin Boston Waterfront and the Boston Convention and Exhibition Center, Boston, USA, 2011.10.11
  51. Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, Osamu Nukaga, Shinji Ueki, Tomohiro Kubota, Masakazu Sugiyama, and Seiji Samukawa
    Theoretical analysis of electron transfer during the process of neutral beam generation
    American Vacuum Society 58th International Symposium & Exhibition, PS-ThM4, Nashville Convention Center, Nashville USA, 2011.11.3
  52. Shingo Ohtsuka, Naoki Watanabe, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, Osamu Nukaga, Tomohiro Kubota, Masakazu Sugiyama, and Seiji Samukawa
    Energy and Angular Distribution Analysis for Neutral Beam and Application for Etching Simulation
    American Vacuum Society 58th International Symposium & Exhibition, PS-ThM5, Nashville Convention Center, Nashville USA, 2011.11.3
  53. Tomohiro Kubota, Akira Wada, Shingo Ohtsuka, Kohei Ono, Hiroto Ohtake, Shinji Ueki, Yuki Nishimori, Gen Hashiguchi, and Seiji Samukawa
    High-aspect-ratio silicon etching using large-diameter neutral beam source
    American Vacuum Society 58th International Symposium & Exhibition, PS-ThM6, Nashville Convention Center, Nashville USA, 2011.11.3
  54. Ryosuke Araki, Kazuhiro Miwa, Tomohiro Kubota, Takuya Iwasaki, Kohei Ono, and Seiji Samukawa
    Prediction of ion sheath shape and ion trajectory during plasma etching processing using on-wafer monitoring technique
    American Vacuum Society 58th International Symposium & Exhibition, PS2-TuA1, Nashville Convention Center, Nashville USA, 2011.11.1
  55. Ryosuke Araki, Tomohiro Kubota, and Seiji Samukawa,
    Prediction of ion sheath shape and ion trajectory during plasma etching processing using on-wafer monitoring technique and simulation
    The 8th EU-Japan Joint Symposium on Plasma Processing, CO2, Todaiji Culture Center, Nara Japan, 2012.1.16
  56. Naoki Watanabe, Tomohiro Kubota, and Seiji Samukawa
    Numerical study on electron transfer mechanism by collision of ions at graphite surface in highly-efficient neutral beam generation
    The 8th EU-Japan Joint Symposium on Plasma Processing, I17, Todaiji Culture Center, Nara Japan, 2012.1.17 (Invited)
  57. Yuki Nishimori, Shinji Ueki, Kazuhiro Miwa, Tomohiro Kubota, Seiji Samukawa, Gen Hashiguchi, and Masakazu Sugiyama
    Recovery of plasma-induced mechanical damage in resonators using neutral beam etching; wafer-scale validation by arrayed cantilevers
    The 25th International Conference on Micro Electro Mechanical Systems, 32-TH, Marriott Paris Rive Gauche Hotel, Paris France, 2012.2.2
  58. Kazuhiro Miwa, Yuki Nishimori, Shinji Ueki, Masakazu Sugiyama, Tomohiro Kubota, and Seiji Samukawa
    Novel dry process for planarization of MEMS sidewall using neutral beam etching
    2012 ASME/JSME Joint International Conference on Micromechatronics for Information and Precision Equipment, S14_01, Santa Clara University, Santa Clara USA, 2012.6.20
  59. Tomohiro Kubota and Seiji Samukawa
    Feature Profile Evolution in Plasma Processing Using Wireless On-Wafer Monitoring System
    Ninth International Conference on Flow Dynamics, TFI-5, Hotel Metropolitan Sendai, Sendai Japan, 2012.9.19
  60. Tomohiro Kubota, Akira Wada, Yuuki Yanagisawa, Batnasan Altansukh, Kazuhiro Miwa, Takahito Ono, and Seiji Samukawa
    3-Dimensional and Defect-free Etching by Neutral Beam for MEMS Applications
    2012 International Conference on Solid State Devices and Materials, G-1-5, Kyoto International Conference Center, Kyoto Japan, 2012.9.27
  61. Daiki Nakayama, Akira Wada, Tomohiro Kubota, Moritz Haass, Robert Bruce, Ryan. M. Martin, Nicholas Fuller, Seiji Samukawa
    Highly Selective and Controllable Si3N4 Etching to Si and SiO2 for sub-22-nm Gate Spacer using CF3 Neutral Beam with O2 and H2
    American Vacuum Society 59th International Symposium & Exhibition, PS-MoM6, Tampa Convention Center, Tampa USA, 2012.10.29
  62. Kazuhiro Miwa, Yuki Nishimori, Shinji Ueki, Masakazu Sugiyama, Tomohiro Kubota, and Seiji Samukawa
    Low Damage Etching Process for Fabricating Micro Electro Mechanical Systems (MEMS) Devices using Neutral Beam
    American Vacuum Society 59th International Symposium & Exhibition, MN-TuP4, Tampa Convention Center, Tampa USA, 2012.10.30
  63. Shingo Ohtsuka, Naoki Watanabe, Tomohiro Kubota, Takuya Iwasaki, Yasuroh Iriye, Kohei Ono, and Seiji Samukawa
    Theoretical calculation of neutralization efficiency of positive and negative chlorine ions with consideration of excited states
    American Vacuum Society 59th International Symposium & Exhibition, PS2-ThM9, Tampa Convention Center, Tampa USA, 2012.11.1
  64. Yuuki Yanagisawa, Tomohiro Kubota, Batnasan Altansukh, Kazuhiro Miwa, and Seiji Samukawa
    3-Dimensional and defect-free neutral beam etching for MEMS applications
    American Vacuum Society 59th International Symposium & Exhibition, PS2-ThM11, Tampa Convention Center, Tampa USA, 2012.11.1
  65. Akira Wada, Tomohiro Kubota, Yuuki Yanagisawa, Batnasan Altansukh, Kazuhiro Miwa, Takahito Ono, and Seiji Samukawa
    3-Dimensional and Damage-Free Neutral Beam Etching for MEMS Application
    IEEE Sensors 2012 Conference, B3P-J7, Taipei International Convention Center, Taipei Taiwan, 2012.10.31
  66. Seiji Samukawa, Makoto Igarashi, Tomohiro Kubota, and Weiguo Hu
    High quality Nanodisk Superlattice and its application in novel optoelectronic device
    The 2nd International Conference on Small Science, Walt Disney World Swan and Dolphin, Orlando USA, 2012.12.17 (Invited)
  67. Tomohiro Kubota, Michio Sato, Takuya Iwasaki, Kohei Ono, and Seiji Samukawa
    Feature profile evolution in plasma processing using on-wafer monitoring system
    American Vacuum Society 60th International Symposium & Exhibition, PS-ThM12, Long Beach Convention Center, Long Beach USA, 2013.10.31
  68. Naoki Watanabe, Shingo Ohtsuka, Shunsuke Mochizuki, Tomohiro Kubota, Takuya Iwasaki, Yasuroh Iriye, Kohei Ono, and Seiji Samukawa
    Numerical simulation of total processes of neutral beam etching from generation of neutral beam by collision of ions against graphite sidewall to 3-dimensional etching profile
    American Vacuum Society 60th International Symposium & Exhibition, PS-ThA10, Long Beach Convention Center, Long Beach USA, 2013.10.31
  69. Tomohiro Kubota and Seiji Samukawa
    On-wafer monitoring technique for highly efficient fabrication process of nano energy devices
    10th International Conference on Flow Dynamics, OS9-9, Sendai International Center, Sendai Japan, 2013.11.26 (invited)
  70. Seiji Samukawa and Tomohiro Kubota
    Core Technology Consortium for Advanced Energy Devices
    10th International Conference on Flow Dynamics, E-9, Sendai International Center, Sendai Japan, 2013.11.26
  71. Tomohiro Kubota and Seiji Samukawa
    Feature Profile Evolution in Plasma Processing using On-wafer Monitoring System
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, 5B-PM-I1, Fukuoka Convention Center, Fukuoka Japan, 2014.2.5 (invited)
  72. Nguyen Van Toan, Tomohiro Kubota, Halubai Sekhar, Seiji Samukawa, and Takahito Ono
    Fabrication and evaluation of silicon micromechanical resonator using neutral beam etching technology
    The 9th International Conference on Nano/Micro Engineered and Molecular Systems, 122, Hyatt Regency Waikiki, Honolulu USA, 2014.4.14
  73. Halubai Sekhar, Tomohiro Kubota, Takeru Okada, Yosuke Tamura, ChangYong Lee, Jitsuo Ohta, Hiroshi Fujioka, and Seiji Samukawa
    Damage-free AlGaN/GaN Recess-Gate Etching using Cl2 Neutral Beam for High-Performance HEMTs
    The 3rd International Symposium on Next-Generation Electronics, A1-2, Chang Gung University, Taoyuan Taiwan, 2014.5.8
  74. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa
    Numerical study on generation process of neutral beam by collision of ions against graphite surface
    The 6th IEEE International Nanoelectronics Conference 2014, INEC0166-MS, Sapporo Japan, 2014.7.29
  75. Sekhar Halubai, Toan Nguyen Van, Tomohiro Kubota, Takahito Ono, Seiji Samukawa
    New trends in NEMS/MEMS device using neutral beam etching technology
    The 6th IEEE International Nanoelectronics Conference 2014, INEC0069-MN, Sapporo Japan, 2014.7.29
  76. Takuya Ozaki, Takeru Okada, Tomohiro Kubota, and Seiji Samukawa
    Development of damage-free neutral beam processes for future nano-devices
    The 6th IEEE International Nanoelectronics Conference 2014, INEC0141-NF, Sapporo Japan, 2014.7.29
  77. Xijiang Chang, Yoshiyuki Kikuchi, Tomohiro Kubota, Kumi Y.Inoue,Tomokazu Matsue, Seiji Samukawa
    Conductive DLC deposition by NBECVD for application of Bio-LSI
    The 6th IEEE International Nanoelectronics Conference 2014, INEC0067-NC, Sapporo Japan, 2014.7.30
  78. Tomohiro Kubota, Michio Sato, Takuya Iwasaki, Kohei Ono, and Seiji Samukawa
    Prediction of plasma etching profile using on-wafer monitoring system
    The 6th IEEE International Nanoelectronics Conference 2014, INEC0059-NF, Sapporo Japan, 2014.7.30
  79. Kumi Y. Inoue, Masahki Matsudaira, Kosuke Ino, Masanori Nakano, Kosuke Takara, Atsushi Suda, Ryota Kunikata, Shinya Yoshida, Takeshi Hayasaka, Yoshiyuki Kikuchi, Xijiang Chang, Tomohiro Kubota, Hitoshi Shiku, Shuji Tanaka, Seiji Samukawa, and Tomokazu Matsue
    Improvement of LSI-based amperometric sensor array for wide application of bioimaging and biosensing
    65th Annual Meeting of the International Society of Electrochemistry, s03-003, Lausanne Switzerland, 2014.9.2
  80. Xijian Chang, Yoshiyuki Kikuchi, Tomohiro Kubota, Kumi Y. Inoue, Tomokazu Matsue and Seiji Samukawa
    Conductive Diamond-like Carbon Film Deposition by Low Temperature Neutral Beam Enhanced Chemical Vapor Deposition for Bio-LSIs
    2014 International Conference on Solid State Devices and Materials, PS-11-7, Tsukuba Japan, 2014.9.10
  81. Tomohiro Kubota, Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuroh Iriye, and Seiji Samukawa
    Precise theoretical calculation of neutral beam generation efficiency by collision of chlorine against graphite surface
    American Vacuum Society 61st International Symposium & Exhibition, PS2+TF-ThM13, Baltimore USA, 2014.11.13
  82. Toshihisa Nozawa, Ryo Miyama, Shinji Kubota, Kazuki Moyama, Tomihiro Kubota, and Seiji Samukawa
    Low-temperature and damage-free transition metal and magnetic material etching using a new metallic complex reaction
    SPIE Advanced Etch Technology for Nanopatterning IV, San Jose Marriott and San Jose Convention Center, San Jose USA, 2015.2.25 (invited)
  83. Tomohiro Kubota and Seiji Samukawa
    A new metallic complex reaction etching for MRAM materials by a low-temperature neutral beam process
    Plasma Etch and Strip in Microtechnology 2015, Leuven, Belgium, 2015.4.27 (invited)
  84. Tomohiro Kubota, Yoshiyuki Kikuchi, Toshihisa Nozawa, and Seiji Samukawa
    Transition Metal Complex Reaction Etching for MRAM Applications using Neutral Beam and Its Mechanism Investigated by First-Principles Calculation
    2015 International Conference on Solid State Devices and Materials, PS-4-2, Sapporo Japan, 2015.9.29
  85. Seiji Samukawa and Tomohiro Kubota
    A Neutral Beam Etching for Control of Atomic Layer Defect Generation and Chemical Reaction
    228th ECS Meeting, 1008, Phoenix USA, 2015.10.15 (invited)
  86. Tomohiro Kubota, Yoshiyuki Kikuchi, and Seiji Samukawa
    First-Principles Theoretical Investigation on Mechanism of New Transition Metal Etching Process using Oxygen and argon Neutral Beams and Ethanol Gas
    American Vacuum Society 62nd International Symposium & Exhibition, PS1-TuA10, San Jose USA, 2015.10.20

Home Page